Xilinx CoolRunner-II CPLD Starter Kit
(updated: 06/14/2009)

The CoolRunner™-II CPLD starter kit provides an out-of-the-box solution with all the tools necessary to evaluate and implement your designs using a high performance, low-power CPLD.

  • Solution Highlights

    • DataGATE switch allows designers to easily evaluate this unique power option that permits input signal blocking, stops input switching and and significantly reduces power that extends battery life.
    • Versatile expansion mechanism allows designers to easily add functions such as analog-to-digital conversion, servo motor interface, serial flash, RS232 serial channel, and more than 20 other peripheral modules. (Peripheral Module Bundle Kit)
    • New easy-to-use GUI utility makes set-up and programming simple and quick. Also includes:

      • Multi-channel, real-time monitoring of CPLD core and I/O bank current and voltage, as well as ambient temperature
      • CPLD programming, readback, and verification
      • Security settings option
      • User-data transfers between PC and CPLD
      • Full API support so custom applications can directly program and access CPLD

  • What's Included

    • CoolRunner-II CPLD evaluation board with an XC2C256-TQ144 device
    • USB 2.0 cable for power, programming, and data transfer
    • 9V battery connector for optional battery power
    • QuickStart guide
    • ISE® WebPACK™ software
    • Resource CD includes documentation and free reference designs

  • Key Features

    • Complete "Out-of-the-Box" evaluation platform
    • CoolRunner-II Utility Window
    • Easy set-up and monitoring
    • DataGATE evaluation "switch"
    • Free reference designs